Supported microcontrollers and processors:
All variables have unsigned byte type. There's no dynamical typing yet. There are no namespaces at this moment (all variables are global, including function arguments). Also sleep mode and interrupts are not supported while. The limitation is that the final code shouldn't be larger than first program memory page.

Status of nodes currently supported by Pyastra:

Statement
Maturity Tested
Planned/released in version
Add done
0.0.1
And done
0.0.1
AssAttr none
0.1.0
AssList none

0.1.0
AssName done
0.0.1
AssTuple none
0.1.0
Assert none

Assign done
0.0.1
AugAssign done
0.0.1
Backquote none


Bitand done
0.0.1
Bitor done
0.0.1
Bitxor done
0.0.1
Break done
0.0.1
CallFunc partial
0.0.1 (done in 0.1.0)
Class none
0.1.0
Compare partial

0.0.1 (done in 0.1.0)
Const done
0.0.1
Continue done
0.0.1
Dict none
0.1.0
Discard done
0.0.1
Div partial
0.0.1 (done in 0.1.0)
Ellipsis none

Exec none

For partial
0.0.1 (done in 0.1.0)
From partial
0.0.1 (done in 0.1.0)
Function partial
0.0.1 (done in 0.1.0)
Getattr none
0.1.0
Global none
0.1.0
If done
0.0.1
Import none
0.1.0
Invert done
0.0.1
Keyword none
0.1.0
Lambda none
0.1.0
LeftShift partial
0.0.1 (done in 0.1.0)
List none
0.1.0
ListComp none
0.1.0
ListCompFor none
0.1.0
ListCompIf none
0.1.0
Mod partial
0.0.1 (done in 0.1.0)
Module done
0.0.1
Mul partial
0.0.1 (done in 0.1.0)
Name done
0.0.1
Not done
0.0.1
Or done
0.0.1
Pass done
0.0.1
Power partial
0.0.1 (done in 0.1.0)
Print none

Printnl none

Raise none

Return done
0.0.1
RightShift partial
0.0.1 (done in 0.1.0)
Slice none
0.1.0
Sliceobj none
0.1.0
Stmt done
0.0.1
Sub done
0.0.1
Subscript partial
0.0.1 (done in 0.1.0)
TryExcept none

TryFinally none

Tuple none
0.1.0
UnaryAdd done
0.0.1
UnarySub none

While done
0.0.1
Yield none



Of course, "done" maturity doesn't mean that it's final. There must be added some optimizations. Also many things are to be changed to fix the limitations listed in the beginning of the document.

Tested means that the statement generates correct code. Some combinations of tested statements may generate incorrect code anyway (than it's called a bug).
$Id: STATUS.html,v 1.7 2004/07/22 16:23:22 estyler Exp $